TWiki
>
P1076 Web
>
Vhdl2019MeetingMinutes
>
2016_MeetingSeptember22
(2020-02-17,
JimLewis
)
(raw view)
E
dit
A
ttach
<!-- Set ALLOWTOPICCHANGE = P1076AdminGroup --> ---+!! P1076 September 22, 2016 Meeting Minutes ---++!! Attendees: * Brent Hayhoe, Lieven Lemiengre, Patrick Lehmann, Peter Flake, Jim Lewis, Kevin Jennings, Jing Pang ---++!! Agenda: %TOC% ---++ Meeting Discussion * Interfaces - continue. * Discussed current work of Lieven on Interfaces. See below. * Patrick, Brent, Rob to look at examples. * Put examples in GitLab private working space at: https://gitlab.com/IEEE-P1076/Interfaces * Patrick created private GitLab account for IEEE WG: * https://gitlab.com/groups/IEEE-P1076 <sticky><pre> package test is type baz is record el1 : string; el2 : integer; end record; bundle baz_b of baz is signal el1 : out; signal el2 : in; end; type baz_a is array(0 to 10) of baz; type baz_a_str is array(0 to 10) of string; type baz_a_int is array(0 to 10) of integer; type foo is record el1 : integer; el2 : string; el3 : baz; end record; bundle b of foo is signal el1 : in; signal el2 : out; bundle el3 : baz_b; end; end; library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use work.test.all; entity foofoo is port ( signal clk : in std_logic; signal rst : in std_logic; -- bundle test : view(baz_b) baz_a; -- REJECTED -- bundle test : baz_b(baz_a); -- REJECTED -- bundle test : {baz_b} baz_a ; -- REJECTED bundle test2 : baz_b baz; -- regular bundles bundle test3 : (baz_b) baz_a; -- array of bundles signal test_el1 : out baz_a_str; signal test_el2 : in baz_a_int ); -- procedure name(bundle ... ) is -- begin -- end procedure name; -- -- map foo (a : integer; bundle foo : b foo) to is -- begin -- ( ) -- end map; end entity foofoo;</verbatim> </sticky></pre> ---++ Review and Approve Meeting Minutes and Decisions by Attendees Brent, Lieven, All ---++ Review and Approve Meeting Minutes and Decisions by non-attendees <Add your name below. Indicate if you agree or disagree> <If you disagree, add a list of items you disagree with and initiate a reflector discussion> TBD ---++ Next Meeting: Thursday [[2016_MeetingSeptember29][September 29, 2016]], 11 am Pacific ---+++ Previous Meeting: Wednesday [[2016_MeetingSeptember15][September 15, 2016]]
E
dit
|
A
ttach
|
P
rint version
|
H
istory
: r5
<
r4
<
r3
<
r2
<
r1
|
B
acklinks
|
V
iew topic
|
Ra
w
edit
|
M
ore topic actions
Topic revision: r5 - 2020-02-17 - 15:36:18 -
JimLewis
P1076
Log In
or
Register
P1076 Web
Create New Topic
Index
Search
Changes
Notifications
RSS Feed
Statistics
Preferences
Webs
Main
P1076
Ballots
LCS2016_080
P10761
P1647
P16661
P1685
P1734
P1735
P1778
P1800
P1801
Sandbox
TWiki
VIP
VerilogAMS
Copyright © 2008-2025 by the contributing authors. All material on this collaboration platform is the property of the contributing authors.
Ideas, requests, problems regarding TWiki?
Send feedback